回首頁聯絡我們加入最愛
帳號:
密碼:
 
忘記密碼 加入會員
 
 
 
您的位置:首頁 > 專題報導 > 顧問專欄
問專欄 Consultant column
 
ntel動作頻頻 欲攪亂全球晶圓代工一池春水
台灣亞太產業分析專業協進會 理事 劉佩真 
台灣經濟研究院總監暨產業顧問

新任INTEL執行長上任後,對公司營運規劃相當積極,且極度配合美國提振半導體產業的政策大方向,故除了2021年3月提出IDM 2.0的概念外,先前更釋放出欲收購GLOBAL FOUNDRIES的訊息測市場風向,7月27日INTEL更宣布翻轉技術藍圖的規劃,揭露全新製程節點命名架構,顯然INTEL動作頻頻,直指台積電的意味濃厚,欲攪亂全球晶圓代工一池春水,更期望挾其美國官方要重拾半導體榮耀的勢力趁此奪回全球半導體技術領導廠商的頭銜。

事實上,美國早期擁有半導體產業群聚和接近市場優勢,再加上歷年美國業者在IC設計業的表現優異,美國半導體大廠主導先進技術規格與標準制定,況且過去美國IDM廠將PC發展上的主導權發揮的淋漓盡致;不過 2001年美國IDM廠在生產策略上已逐漸對12吋晶圓廠的設置有些疑慮,進而轉向Fabless、Fab-lite的走向。

而目前美國仍為全球第一大半導體供應國,強項多集中於IDM、晶片設計,且由於美國是最早發展半導體的國家,扮演產品規格制訂的重要角色,並掌握關鍵技術及專利,也具有足夠大的市場胃納量,因此對全球半導體技術的發展趨勢、未來產品創新應用、標準制訂等仍具有動見觀瞻的重要性。但若以全球半導體製造與委外封測代工產能分布來看,美國本土實質所佔比重極為有限,若以晶圓廠所有權來看,美國所拿下的比重為21%,僅次於台灣的22%,但實際上按晶圓廠座落的地點來說,美國卻僅有12%,顯然美系業者多將產能移往海外市場,反映美國欲將供應鏈拉回本土境內來重振半導體榮耀,希望能在半導體各環節中奪回市場主導權,特別是在美中對抗局面,以及台積電市場影響力不斷擴大之際。

而此次Intel大動作宣布加速製程與封裝創新,也宣布獲得Qualcomm、Amazon的訂單,的確造成市場的一陣騷動,特別是Intel對於未來技術藍圖的新製程名稱將一改過去包括10奈米Enhanced Super Fin、Intel 7奈米,轉化為Intel 7、Intel 4,而2023年下半年準備開始生產、2024年逐步量產、2025年初問世的製程則分別命名為Intel 3、Intel 20A、Intel 18A,尤其Intel 20A是使用全新電晶體架構RibbonFET(十年來Intel首次提出全新的電晶體架構)和背部供電PowerVia(業界首款背部供電的方案)兩大突破技術開創埃米時代,而Intel 18A更將為電晶體帶來另一次重大性能的提升;此外,透過Foverors Omni、Foveros Direct,Intel也高調提倡其3D封裝創新。

從Intel宣示製程技術藍圖的大躍進,可知其仍是希望從台積電手上奪回全球半導體技術領導的地位,畢竟台積電2021年第三季將進入4奈米的試產,而2022年下半年來到3奈米正式量產階段,2024年2奈米則進入GAA製程;而短期間Intel又因製程的落後而需要台積電的委外代工支援,顯然未來兩強的關係將是兼具合作又競爭的詭譎情況。

仔細解析Intel近期舉動,宣示性的意義恐大於實質性對於台積電的影響,主要是台積電仍在技術藍圖推進上穩扎穩打逐步邁進,2021年資本支出300億美元也大於Intel的190~200億美元,同時Qualcomm、Amazon未來對於Intel的下單規模仍未明,在Intel尚未有製程技術明顯趕上台積電跡象之際,美系客戶恐是為迎合美國政府政策,最終仍將回歸長期客戶信賴關係、專業服務與代工成本的考量,畢竟Intel短期內還是需要台積電代工的奧援才有辦法解決其CPU缺貨或產品推出遞延的情況,且Intel與客戶依舊有競爭關係,不像台積電不與客戶爭利,更何況Intel是否能落實其翻轉技術的藍圖才是關鍵。但不管如何,面對Intel來勢洶洶,台積電仍是不可輕忽,到底Intel曾是全球半導體領導者,且現階段又有美國政府520億元即將投入半導體行業進行扶植所致。

我要收藏 TOP 回列表頁
 
 
分析師專欄2023年細胞及基因治療產業回顧
分析師專欄國際食品不浪費政策及食品產業因應對策
顧問專欄人工智慧帶來的商機與隱憂
台灣亞太產業分析專業協進會資深產業顧問杜紫宸中華大學通識中心兼任講座教授自從2022年底ChatGPT炫麗上市,輝達(N...more
 
 
 
 
 
版權所有 © 2012 台灣亞太產業分析專業協進會
尊重智慧財產權 請勿任意轉載網站內容